"c:/altera/10.1/quartus/bin/jre/bin/java.exe" -Xmx512M -classpath "c:/altera/10.1/quartus/sopc_builder/bin/sopc_builder.jar;c:/altera/10.1/quartus/sopc_builder/bin/PinAssigner.jar;c:/altera/10.1/quartus/sopc_builder/bin/sopc_wizard.jar;c:/altera/10.1/quartus/sopc_builder/bin/jptf.jar" sopc_builder.sopc_builder -d"c:/altera/10.1/quartus/sopc_builder" -notalkback=1 -projectnameDE0_Nano.qpf -projectpathE:/DE0_Nano_SOPC_DEMO --no_splash --update_classes_and_exit --quartus_dir="c:/altera/10.1/quartus" --sopc_perl="c:/altera/10.1/quartus/bin/perl" --sopc_lib_path="e:\DE0_Nano_SOPC_DEMO+C:\altera\10.1\nios2eds\bin;+C:\altera\10.1\ip\altera\asi\lib\ip_toolbench+C:\altera\10.1\quartus\common\librarian\factories+E:\DE0_Nano_SOPC_DEMO\ip\TERASIC_ADC_READ+E:\DE0_Nano_SOPC_DEMO\ip\TARASIC_SPI_3WIRE+C:\altera\10.1\ip\altera\alt_interlaken\design_examples\alt_interlaken_12lane_10g+C:\altera\10.1\ip\altera\alt_interlaken\design_examples\alt_interlaken_20lane_6g+C:\altera\10.1\ip\altera\alt_interlaken\design_examples\alt_interlaken_4lane_3g+C:\altera\10.1\ip\altera\alt_interlaken\design_examples\alt_interlaken_8lane_6g+C:\altera\10.1\ip\altera\alt_interlaken\alt_interlaken_pcs+C:\altera\10.1\ip\altera\alt_interlaken\alt_interlaken_pcs_siv+C:\altera\10.1\ip\altera\alt_interlaken\alt_interlaken_pcs_sv+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_10l_6g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_12l_10g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_12l_6g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_20l_6g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_4l_3g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_4l_6g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_8l_3g+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_8l_6g+C:\altera\10.1\ip\altera\alt_interlaken\altera_interlaken_hsio_bank\altera_interlaken_hsio_bank_10g+C:\altera\10.1\ip\altera\alt_interlaken\altera_interlaken_hsio_bank\altera_interlaken_hsio_bank_bpcs4+C:\altera\10.1\ip\altera\alt_interlaken\altera_interlaken_hsio_bank\altera_interlaken_hsio_bank_bpcs_3g+C:\altera\10.1\ip\altera\alt_interlaken\altera_interlaken_hsio_bank\altera_interlaken_hsio_bank_pmad5+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_oob\alt_ntrlkn_oob_rx+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_oob\alt_ntrlkn_oob_tx+C:\altera\10.1\ip\altera\alt_interlaken\alt_ntrlkn_sample_channel_client+C:\altera\10.1\ip\altera\alt_pma\source\alt_pma+C:\altera\10.1\ip\altera\alt_pma\source\alt_pma_controller+C:\altera\10.1\ip\altera\vip\ip_library\full_ip\cl_scaler+C:\altera\10.1\ip\altera\clipper\lib+C:\altera\10.1\ip\altera\color_plane_sequencer\lib+C:\altera\10.1\ip\altera\chroma_resampler\lib+C:\altera\10.1\ip\altera\csc\lib+C:\altera\10.1\ip\altera\clocked_video_input+C:\altera\10.1\ip\altera\control_synchronizer\full_ip\control_synchronizer+C:\altera\10.1\ip\altera\deinterlacer\lib+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_duplicator+C:\altera\10.1\ip\altera\fir_filter_2d\lib+C:\altera\10.1\ip\altera\gamma_corrector\lib+C:\altera\10.1\ip\altera\clocked_video_output+C:\altera\10.1\ip\altera\interlacer\lib+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_line_buffer+C:\altera\10.1\ip\altera\median_filter_2d\lib+C:\altera\10.1\ip\altera\alpha_blending_mixer\lib+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_packet_mux+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_scaler_alg_core+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_scaler_control_slave+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_scaler_kernel_creator+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_scaler_scheduler+C:\altera\10.1\ip\altera\scaler\lib+C:\altera\10.1\ip\altera\switch\full_ip\switch+C:\altera\10.1\ip\altera\test_pattern_generator\lib+C:\altera\10.1\ip\altera\frame_buffer\lib+C:\altera\10.1\ip\altera\frame_reader\full_ip\frame_reader+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_video_input_bridge+C:\altera\10.1\ip\altera\vip\ip_library\component_ip\alt_vip_video_output_bridge+C:\altera\10.1\ip\altera\alt_xaui\lib+C:\altera\10.1\ip\altera\alt_xcvr_reconfig\alt_xcvr_reconfig+C:\altera\10.1\ip\altera\alt_xcvr_reconfig\alt_xcvr_reconfig_analog+C:\altera\10.1\ip\altera\alt_xcvr_reconfig\alt_xcvr_reconfig_basic+C:\altera\10.1\ip\altera\alt_xcvr_reconfig\alt_xcvr_reconfig_dfe+C:\altera\10.1\ip\altera\alt_xcvr_reconfig\alt_xcvr_reconfig_eyemon+C:\altera\10.1\ip\altera\alt_xcvr_reconfig\alt_xcvr_reconfig_offset_cancellation+C:\altera\10.1\ip\altera\altdq_dqs2+C:\altera\10.1\ip\altera\altera_10gbaser_phy\altera_10gbaser_phy+C:\altera\10.1\ip\altera\sopc_builder_ip+C:\altera\10.1\quartus\sopc_builder\model\lib+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_cf+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_cfi_flash+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_clock_reset_source+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_clock_source+C:\altera\10.1\ip\altera\gx_debug\altera_avalon_data_pattern_checker+C:\altera\10.1\ip\altera\gx_debug\altera_avalon_data_pattern_generator+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_dc_fifo+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_dma+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_epcs_flash_controller+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_fifo+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_half_rate_bridge+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_interrupt_sink+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_interrupt_source+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_jtag_uart+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_lan91c111+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_lcd_16207+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_mailbox+C:\altera\10.1\ip\altera\merlin\altera_avalon_mm_bridge+C:\altera\10.1\ip\altera\merlin\altera_avalon_mm_clock_crossing_bridge+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_mm_master_bfm+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_mm_memory+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_mm_monitor_bfm+C:\altera\10.1\ip\altera\altera_avalon_mm_passthru+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_mm_slave_bfm+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_multi_channel_shared_fifo+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_mutex+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_packets_to_master+C:\altera\10.1\ip\altera\pci_compiler\lib\ip_toolbench+C:\altera\10.1\ip\altera\pci_compiler\lib\sopc_builder+C:\altera\10.1\ip\altera\pci_express_compiler\lib\ip_toolbench+C:\altera\10.1\ip\altera\pci_express_compiler\lib\sopc_builder+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_performance_counter+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_pio+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_pixel_converter+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_remote_update_cycloneiii+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_reset_source+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_round_robin_scheduler+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_sc_fifo+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_sgdma+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_spi+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_st_bytes_to_packets+C:\altera\10.1\ip\altera\avalon_st\altera_avalon_st_delay+C:\altera\10.1\ip\altera\avalon_st\altera_avalon_st_handshake_clock_crosser+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_st_idle_inserter+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_st_idle_remover+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_st_monitor_bfm+C:\altera\10.1\ip\altera\merlin\altera_avalon_st_packet_switch+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_st_packets_to_bytes+C:\altera\10.1\ip\altera\avalon_st\altera_avalon_st_pipeline_stage+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_st_sink_bfm+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_avalon_st_source_bfm+C:\altera\10.1\ip\altera\avalon_st\altera_avalon_st_splitter+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_sysid+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_sysid_qsys+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_timer+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_uart+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_video_sync_generator+C:\altera\10.1\ip\altera\merlin\altera_clock_bridge+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_conduit_bfm+C:\altera\10.1\ip\altera\merlin\altera_conduit_pin_divider+C:\altera\10.1\ip\altera\merlin\altera_conduit_pin_divider_core+C:\altera\10.1\ip\altera\altera_avalon_mm_efficiency_monitor\top+C:\altera\10.1\ip\altera\altera_avalon_mm_efficiency_monitor\altera_uniphy_efficiency_and_protocol_core+C:\altera\10.1\ip\altera\altera_core_noise_generator\top+C:\altera\10.1\ip\altera\altera_core_noise_generator\altera_core_noise_generator_core+C:\altera\10.1\ip\altera\cpri\src+C:\altera\10.1\ip\altera\merlin\altera_customins_master_translator+C:\altera\10.1\ip\altera\merlin\altera_customins_slave_translator+C:\altera\10.1\ip\altera\merlin\altera_customins_xconnect+C:\altera\10.1\ip\altera\mem\ddrx\source+C:\altera\10.1\ip\altera\ethernet\altera_eth_10g_mac+C:\altera\10.1\ip\altera\ethernet\altera_eth_10g_design_example\altera_eth_10g_mac_base_r+C:\altera\10.1\ip\altera\ethernet\altera_eth_10g_design_example\altera_eth_10g_mac_xaui+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_10gmem_statistics_collector+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_address_inserter+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_adapters\altera_eth_channel_adapter+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_crc+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_crc_pad_rem+C:\altera\10.1\ip\altera\ethernet\altera_eth_10g_design_example\design_example_components\altera_eth_fifo_pause_ctrl_adapter+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_frame_decoder+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_adapters\altera_eth_frame_status_merger+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_adapters\altera_eth_interface_conversion+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_lane_decoder+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_link_fault_detection+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_link_fault_generation+C:\altera\10.1\ip\altera\ethernet\altera_eth_10g_design_example\design_example_components\altera_eth_loopback+C:\altera\10.1\ip\altera\ethernet\altera_eth_10g_design_example\design_example_components\altera_eth_loopback_composed+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_mdio+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_packet_formatter+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_packet_overflow_control+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_packet_underflow_control+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_pad_inserter+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_pause_controller_and_generator+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_pkt_backpressure_control+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_statistics_collector+C:\altera\10.1\ip\altera\ethernet\ethernet_ucore\altera_eth_xgmii_termination+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_external_memory_bfm+C:\altera\10.1\ip\altera\fir_compiler_ii\src+C:\altera\10.1\ip\altera\merlin\altera_generic_tristate_controller+C:\altera\10.1\ip\altera\alt_interlaken\altera_interlaken+C:\altera\10.1\ip\altera\merlin\altera_irq_bridge+C:\altera\10.1\ip\altera\merlin\altera_irq_clock_crosser+C:\altera\10.1\ip\altera\merlin\altera_irq_fanout+C:\altera\10.1\ip\altera\merlin\altera_irq_mapper+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_jtag_avalon_master+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_jtag_phy+C:\altera\10.1\ip\altera\merlin\altera_merlin_burst_adapter+C:\altera\10.1\ip\altera\altera_pcie+C:\altera\10.1\ip\altera\merlin\altera_merlin_demultiplexer+C:\altera\10.1\ip\altera\merlin\altera_merlin_irq_receiver_bridge+C:\altera\10.1\ip\altera\merlin\altera_merlin_master_agent+C:\altera\10.1\ip\altera\merlin\altera_merlin_master_translator+C:\altera\10.1\ip\altera\merlin\altera_merlin_multiplexer+C:\altera\10.1\ip\altera\merlin\altera_merlin_router+C:\altera\10.1\ip\altera\merlin\altera_merlin_slave_agent+C:\altera\10.1\ip\altera\merlin\altera_merlin_slave_translator+C:\altera\10.1\ip\altera\merlin\altera_merlin_std_arbitrator+C:\altera\10.1\ip\altera\merlin\altera_merlin_traffic_limiter+C:\altera\10.1\ip\altera\merlin\altera_merlin_width_adapter+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_nios2_custom_instr_hybrid_master_bfm+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_nios2_custom_instr_master_bfm+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_nios2_custom_instr_slave_bfm+C:\altera\10.1\ip\altera\nios2_ip\altera_nios2+C:\altera\10.1\ip\altera\event_driven_processing\nios2dpx\nios2dpx+C:\altera\10.1\ip\altera\event_driven_processing\nios2dpx\nios2dpx_context_addr_adaptor+C:\altera\10.1\ip\altera\event_driven_processing\nios2dpx\nios2dpx_mtp+C:\altera\10.1\ip\altera\event_driven_processing\nios2dpx\nios2dpx_miu+C:\altera\10.1\ip\altera\event_driven_processing\nios2dpx\nios2dpx_mtp_debug_unit+C:\altera\10.1\ip\altera\event_driven_processing\nios2dpx\nios2dpx_reset_controller+C:\altera\10.1\ip\altera\nios2_ip\altera_nios_custom_instr_bitswap_qsys+C:\altera\10.1\ip\altera\nios2_ip\altera_nios_custom_instr_endian_converter_qsys+C:\altera\10.1\ip\altera\nios2_ip\altera_nios_custom_instr_floating_point_qsys+C:\altera\10.1\ip\altera\nios2_ip\altera_nios_custom_instr_interrupt_vector_qsys+C:\altera\10.1\ip\altera\nios2_ip+C:\altera\10.1\ip\altera\altera_pcie_pipe+C:\altera\10.1\ip\altera\event_driven_processing\pe_msg_switch\top+C:\altera\10.1\ip\altera\altera_pll\source\top+C:\altera\10.1\ip\altera\altera_rapidio+C:\altera\10.1\ip\altera\merlin\altera_reset_bridge+C:\altera\10.1\ip\altera\merlin\altera_reset_controller+C:\altera\10.1\ip\altera\rs_ii\altera_rs_ii+C:\altera\10.1\ip\altera\rs_ii\src\rs_ucores\dec\altera_rs_ser_bm+C:\altera\10.1\ip\altera\rs_ii\src\rs_ucores\dec\altera_rs_ser_correct+C:\altera\10.1\ip\altera\rs_ii\src\dec\altera_rs_ser_dec+C:\altera\10.1\ip\altera\rs_ii\src\enc\altera_rs_ser_enc+C:\altera\10.1\ip\altera\rs_ii\src\rs_ucores\dec\altera_rs_ser_search+C:\altera\10.1\ip\altera\rs_ii\src\rs_ucores\dec\altera_rs_ser_syn+C:\altera\10.1\ip\altera\alt_mem_if_mem_models\altera_sdram_partner_module+C:\altera\10.1\ip\altera\event_driven_processing\altera_statistics_collector+C:\altera\10.1\ip\altera\sopc_builder_ip\verification\altera_tristate_conduit_bfm+C:\altera\10.1\ip\altera\merlin\altera_tristate_conduit_bridge+C:\altera\10.1\ip\altera\merlin\altera_tristate_conduit_bridge_translator+C:\altera\10.1\ip\altera\merlin\altera_tristate_conduit_pin_sharer+C:\altera\10.1\ip\altera\merlin\altera_tristate_conduit_pin_sharer_core+C:\altera\10.1\ip\altera\merlin\altera_tristate_controller_aggregator+C:\altera\10.1\ip\altera\merlin\altera_tristate_controller_translator+C:\altera\10.1\ip\altera\uniphy\lib+C:\altera\10.1\ip\altera\altera_vectored_interrupt_controller\top+C:\altera\10.1\ip\altera\altera_vectored_interrupt_controller\csr+C:\altera\10.1\ip\altera\altera_vectored_interrupt_controller\priority+C:\altera\10.1\ip\altera\altera_vectored_interrupt_controller\vector+C:\altera\10.1\ip\altera\altera_xcvr_10g_custom+C:\altera\10.1\ip\altera\altera_xcvr_8g_custom\xcvr_generic+C:\altera\10.1\ip\altera\alt_pma\source\altera_xcvr_low_latency_phy+C:\altera\10.1\ip\altera\ddr_high_perf\lib\ip_toolbench+C:\altera\10.1\ip\altera\ddr_high_perf\lib\sopc_builder+C:\altera\10.1\ip\altera\ddr2_high_perf\lib\ip_toolbench+C:\altera\10.1\ip\altera\ddr2_high_perf\lib\sopc_builder+C:\altera\10.1\ip\altera\ddr3_high_perf\lib\ip_toolbench+C:\altera\10.1\ip\altera\ddr3_high_perf\lib\sopc_builder+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_altpll+C:\altera\10.1\ip\altera\audio_embed\src+C:\altera\10.1\ip\altera\audio_extract\src+C:\altera\10.1\ip\altera\event_driven_processing\pe_msg_switch\avalon_st_router+C:\altera\10.1\ip\altera\event_driven_processing\pe_msg_switch\channel_assert+C:\altera\10.1\ip\altera\event_driven_processing\pe_msg_switch\channel_removal+C:\altera\10.1\ip\altera\clocked_audio_input\src+C:\altera\10.1\ip\altera\clocked_audio_output\src+C:\altera\10.1\ip\altera\crc_compiler\lib\ip_toolbench+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_data_sink+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_data_source+C:\altera\10.1\ip\altera\ddr_ddr2_sdram\lib\sopc_builder+C:\altera\10.1\ip\altera\event_driven_processing\pe_msg_switch\error_detector+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_pci_lite+C:\altera\10.1\ip\altera\rapidio\lib\ip_toolbench+C:\altera\10.1\ip\altera\rapidio\lib\rio\qsys_cust_demo+C:\altera\10.1\ip\altera\sdi\lib\ip_toolbench+C:\altera\10.1\ip\sls\usb20hr\hardware\component+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_spislave_to_avalonmm_bridge+C:\altera\10.1\ip\altera\sopc_builder_ip\altera_avalon_spi_phy_slave+C:\altera\10.1\ip\altera\altera_xcvr_8g_custom\sv+C:\altera\10.1\ip\altera\triple_speed_ethernet\lib\ip_toolbench+C:\altera\10.1\ip\altera\triple_speed_ethernet\lib\sopc_builder+C:\altera\10.1\ip\altera\triple_speed_ethernet\lib\sopc_builder\altera_triple_speed_ethernet++c:/altera/10.1/quartus/../ip/altera/sopc_builder_ip+c:/altera/10.1/quartus/../ip/altera/nios2_ip"